[PDF] Small Molecule Photoresist Materials For Next Generation Lithography eBook

Small Molecule Photoresist Materials For Next Generation Lithography Book in PDF, ePub and Kindle version is available to download in english. Read online anytime anywhere directly from your device. Click on the download button below to get a free pdf file of Small Molecule Photoresist Materials For Next Generation Lithography book. This book definitely worth reading, it is an incredibly well-written.

Small Molecule Photoresist Materials for Next Generation Lithography

Author : Marie Elyse Krysak
Publisher :
Page : 414 pages
File Size : 44,88 MB
Release : 2013
Category :
ISBN :

GET BOOK

Photolithography remains the most efficient method to create semiconductor devices. Moore's law states that the number of transistors per integrated circuit will double every four years. In order to successfully continue this trend of miniaturizing feature sizes, new, smaller sized patterning materials must be studied. Small molecule photoresists are being developed for high resolution patterning. Low molecular weight amorphous materials, or molecular glasses (MGs), have emerged as alternatives to polymeric resist materials. They combine the benefits of small molecular size with the favorable aspects of polymers, such as a high glass transition temperature (Tg) and the ability to form thin films. Inorganic-based nanoparticles are currently being explored as next generation photoresists. These materials are similar in architecture to MGs, but are comprised of an inorganic core that provides excellent thermal stability and resistance to plasma etching. This research focuses on the synthesis and characterization both MG and nanoparticle resist materials for high resolution patterning. The materials studied are designed for use with Extreme Ultraviolet Lithography (EUV-L), using a wavelength of 13.5 nm. This next-generation technique is believed to be the key to extending patterning capabilities to sub 30 nm and beyond. Small molecule resists materials have been specifically designed for use with alternative lithographic processing techniques. Small, rigid structures were designed for vapor deposition, which has been examined as an alternative to spin-coating. This process has been shown to deposit a uniform film, free from defects and impurities, without the use of solvent. Sub-millisecond laser heating is a relatively new technique that is studied as an alternative the post exposure bake. This method has shown the ability to reduce line edge roughness while simultaneously improving resist sensitivity. Systematically designed MG photoacid generators have been used to characterize the acid diffusion behavior during laser heating as compared to traditional hotplate heating. The development of resist materials for these new processes is a critical step in the preparation of these processes for widespread use in lithographic processing. ii.

Materials and Processes for Next Generation Lithography

Author :
Publisher : Elsevier
Page : 636 pages
File Size : 41,20 MB
Release : 2016-11-08
Category : Science
ISBN : 0081003587

GET BOOK

As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography. These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches. This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication. Assembles up-to-date information from the world’s premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigation Includes information on processing and metrology techniques Brings together multiple approaches to litho pattern recording from academia and industry in one place

Solvent-based Development of Photoresists for Next-generation Lithography

Author : Christine Y. Ouyang
Publisher :
Page : 334 pages
File Size : 13,31 MB
Release : 2013
Category :
ISBN :

GET BOOK

As feature sizes continue to shrink, the need for new materials and new processes for next-generation lithography becomes more urgent. Although aqueous base development has been the industry standard for over twenty years, there are still several issues that need to be overcome. First, the high surface tension of aqueous base developers can lead to pattern collapse of high aspect ratio patterns and limit resolution. The toxicity of aqueous base developers has also raised concerns about the environment. In order to reduce the problems related to aqueous development, solvents or materials with desirable properties must be used. Recently, there has also been growing interest in solvent-based negative-tone development (NTD) due to its better performance in printing certain feature types. Therefore, solvent-based development of photoresists was investigated in this study. One approach to reduce the pattern collapse problem and environmental issues of the lithographic process is through the use of environmentally friendly solvents with low surface tension. Supercritical carbon dioxide (scCO2) and linear methyl siloxanes (LMS) are green solvents that have low toxicity, low surface tension, low viscosity and can be recycled. Solvent-based development of both polymeric and molecular glass resists with positive- and negative-tone images have been successfully demonstrated in both solvents. High-resolution and high aspect ratio patterns were obtained with no pattern collapse observed using both solvents. As there is little iii understanding about the solvent power of linear methyl siloxanes, the dissolution behavior of polymers and molecular glasses in linear methyl siloxanes was also studied. Besides using low surface tension developers to mitigate pattern collapse problem, another approach is by using materials with high etch resistance that eliminates the use of thick films. Also, because of the low intensity of current EUV light source, the next-generation resists need to demonstrate high sensitivity and optimum absorbance. Inorganic metal oxide nanoparticles based on zirconium oxide (ZrO2) and hafnium oxide (HfO2) with organic ligands have been synthesized for EUV lithography. These nanoparticle resists can be developed as negative-tone patterns using an organic solvent and high-resolution patterns were achieved. The patterning performance of these nanoparticles in different organic solvents was also evaluated. iv.

Vacuum Ultraviolet Spectroscopy

Author : James A. Samson
Publisher : Academic Press
Page : 317 pages
File Size : 16,7 MB
Release : 2000-12-21
Category : Science
ISBN : 0080543480

GET BOOK

Techniques of Vacuum Ultraviolet Spectroscopy was first published in 1967. In the three decades since, the techniques associated with vacuum ultraviolet spectroscopy have been greatly expanded. Originally published as two volumes in the serial "Experimental Methods in the Physical Sciences," Vacuum Ultraviolet Spectroscopy combines in one paperback volume information on the many advances in vacuum ultraviolet (VUV) research. In addition, the book provides students and researchers with concise reviews of the important aspects of designing experiments in the VUV region.This is the only comprehensive treatise describing the use of synchrotron and other light sources for research, along with the new technologies in optical elements, multilayers, mirror coatings, soft x-ray zone plates, VUV detectors, interferometric spectrometers, and subjects such as spectromicroscopy, lithography, and photon-induced fluorescence. Vacuum Ultraviolet Spectroscopy is an ideal handbook both for the beginner and for the experienced researcher in any field requiring the use of VUV radiation. Key Features* Detailed review of synchrotron radiation sources including undulators and wigglers* Comprehensive outline of monochromator design* Concise review of optics theory for multilayers, spectrometers, and zone plates* Information about other important VUV sources such as laser produced plasmas and Electron Beam Ion Trap (EBIT) sources* Applications such as spectromicroscopy, lithography, and fluorescence

Advanced Materials for Next-Generation Lithography

Author : Evan Lawrence Schwartz
Publisher :
Page : 264 pages
File Size : 21,38 MB
Release : 2011
Category :
ISBN :

GET BOOK

The constant demand for increased circuit density and higher resolution patterning calls for simultaneous advancements in materials chemistry. A variety of possible approaches for next-generation lithography are explored, centering on the use of directly patternable self-assembling block copolymers, along with hafnium oxidebased nanoparticle photoresists. In one example of the first approach, a random copolymer brush layer of poly(styrene-ran-hydroxystyrene) was designed and synthesized to precisely tune the substrate/polymer surface energy for a lithographically patternable poly([alpha]methylstyrene-block-4-hydroxystyrene) (P[alpha]MS-b-PHOST) block copolymer. The surface was designed to avoid preferential wetting of either P[alpha]MS or PHOST domains to the substrate and orient the block copolymer domains vertically relative to the substrate. To neutralize the polymer/ vapor interface during solvent vapor processing, the film was exposed to a mixed solvent vapor of a defined polarity, creating vertical microdomains with long-range order. In the latter approach, hafnium oxide nanoparticles were covalently coated with a photo-reactive ligand, which allowed neighboring nanoparticles to form a crosslinked network upon exposure to ultraviolet light. The basic science of this new class of resist material is discussed. These negative-tone resists have so far demonstrated sub-50 nm resolution using 193nm interference lithography, and plasma etch resistance over thirteen times greater than PHOST under standard silicon etching conditions. In a combination of the two approaches, the co-assembly of the inorganic nanoparticles with the PHOST phase of P[alpha]MS-b-PHOST is shown. TEM and SAXS studies indicated the expansion of the microdomain periodicity upon nanoparticle incorporation. These block copolymer nanocomposite films offer enhanced functionality and a larger process window for subsequent pattern transfer into semiconductor substrates. In another example of co-assembly, phenolic molecular glass photoresists were blended with low molecular weight, triblock copolymer surfactants based on poly(ethylene oxide)(PEO). The miscibility of these blend components is shown to be a result of preferential hydrogen bonding between the hydroxyl groups attached to the molecular glass and the alkyl ether group of the PEO block, as shown by FTIR and DSC analysis. The blending resulted in an enhancement in segregation strength that led to the formation of sub-10nm self-assembled morphologies, as verified by SAXS. Options for the lithographic patterning of these blends are explored. Lastly, a combined additive and subtractive patterning technique is demonstrated that allows the deposition of multiple block copolymer films, of different domain sizes and pitches, on the same layer of the substrate. The approach used a semifluorinated negative-tone photoresist which is designed to resist intermixing when spin coated on top of a block copolymer film.

Advanced Photoresist Technologies by Intricate Molecular Brush Architectures

Author : Sangho Cho
Publisher :
Page : pages
File Size : 12,23 MB
Release : 2015
Category :
ISBN :

GET BOOK

With recent technological improvements, fabrication of integrated circuit elements on shrinking scales is required to meet the demand for massive storage and fast data processing. As the fabrication of high resolution patterns requires short wavelength radiation sources, extreme ultraviolet and electron beam techniques have been developed as radiation sources for next-generation lithography. Advancements of lithography techniques accompany the evolution of resist materials for the synchronous fulfillment of high sensitivity, high resolution, and high structural integrity. Our strategy for nanofabrication is a combination of bottom-up synthesis and top-down lithography. The use of cylindrical brush polymers, which can vertically align on substrates, affords access to electron-beam-generated patterns with the minimum pixel size determined by the cylinder diameter. Cylindrical brush polymers for negative- and positive-tone photoresist materials were synthesized by controlled radical and olefin metathesis polymerization. Macromonomers with well-defined size variations were prepared by reversible addition-fragmentation chain transfer polymerization of monomers having surface energy reducing, substrate adhesion enhancing, and lithographically functioning moieties. Then, sequential ring-opening metathesis polymerization of the macromonomers via a "grafting-through" strategy allows precise control of concentric and lengthwise dimensions and compositions in the brush polymer structures. The brush polymers consist of a rigid polymeric backbone with covalently tethered side chains which allow facile access to a cylindrical morphology due to their steric repulsion. Low surface energy of fluoropolymers at one end and the high polarity of groups at the other end drive the cylindrical brush polymers to vertically align on the polar silicon wafer substrate as characterized by surface analysis techniques. The stretched conformation of the brush polymers facilitates their assembly by reducing chain entanglement. The chemically amplified resists from the brush polymers exhibit high lithographic performance with a few tens of nanometer resolution. The brush polymers with poly(p-hydroxystyrene)s yielded negative-tone features by crosslinking chemistry, while those having acid-labile tertiary esters gave positive-tone features. Cylindrical brush polymer based resists showed their superior lithographic performance over linear block copolymer precursors in both resolution and sensitivity by having each vertically aligned molecule act as a molecular pixel. As a result, the tuning of composition and dimension using bottom-up synthetic strategy allows the fine tuning of top-down lithographic performance. The electronic version of this dissertation is accessible from http://hdl.handle.net/1969.1/155598.

Patternable Materials for Next-generation Lithography

Author : Austin Patrick Lane
Publisher :
Page : 462 pages
File Size : 19,41 MB
Release : 2017
Category :
ISBN :

GET BOOK

One of the salient truths facing the microelectronics industry today is that photolithography tools are unable to meet the resolution requirements for manufacturing next-generation devices. In the past, circuit feature sizes have been minimized by reducing the exposure wavelength used for patterning. However, this strategy failed with the worldwide dereliction of 157 nm lithography in 2003. Extreme ultraviolet (EUV) lithography still faces many technical challenges and is not ready for high volume manufacturing. How will the microelectronics industry continue to innovate without regular advances in photopatterning technology? Regardless of which paradigm is adopted, new materials will probably be required to meet the specific challenges of scaling down feature sizes and satisfying the economic ultimatum of Moore’s Law. In the search for higher resolution patterning tools, device manufacturers have identified block copolymer (BCP) lithography as a possible technique for next-generation nanofabrication. BCP self-assembly offers access to sub-5 nm features in thin films, well beyond the resolution limits of photolithography. However, BCP materials must be carefully designed, synthesized, and processed to create lithographically interesting features with good etch resistance for pattern transfer. In this dissertation, we describe a pattern transfer process for 5 nm BCP lamellae and a directed self-assembly (DSA) process for aligning 5 nm structures in thin films. To achieve defect-free alignment, the interfacial interactions between the BCP and pre-patterned substrate must be precisely controlled. We also discuss a new process for selectively modifying oxidized chromium films using polymer brushes, which could further improve the aforesaid DSA process. To facilitate better pattern transfer of BCP structures, several new BCPs with “self-developing” blocks were synthesized and tested. These materials depolymerize and evaporate in strongly acidic environments, leading to developed BCP features without the need for etching or solvent. “Self-developing” polymers may also be useful materials for traditional photolithography. Chemically amplified resists used in manufacturing today are fundamentally limited by a trade-off between sensitivity and pattern quality. To overcome this problem, we present a new type of photoresist that relies on depolymerization, rather than catalysis, to achieve amplification without producing significant roughness or bias in the final pattern

Organic Inorganic Photoresist and Laser Induced Heating Process for Next Generation Lithography

Author : Jing Jiang
Publisher :
Page : 344 pages
File Size : 36,19 MB
Release : 2015
Category :
ISBN :

GET BOOK

What technology will enable lithography to continue Moore's law beyond 10 nm node? Traditional photolithography, using a 193 nm wavelength and chemically amplified resist (CAR), is currently the workhorse in the semiconductor industry, but faces challenge of achieving required resolution and line width roughness (LWR). Extreme Ultraviolet Lithography (EUVL), using 13.5 nm light, is considered as the likely successor to 193 nm immersion lithography, but has been delayed for years due to both light source and resist materials challenges. Directed self-assembly (DSA) of block copolymers, as a bottom-up approach, has the potential for high resolution, but its process integration is completely different from conventional top-down lithography. All of these different techniques coexist as competing solutions, but also facing challenges at the same time. So how can we enable these technologies for the next generation lithography? This dissertation explores the materials used in these three main categories of lithography technologies (CAR, EUVL and DSA), providing unconventional approaches to address this question. Ultrafast and high temperature laser induced heating is utilized as a post exposure bake (PEB) method for chemically amplified photoresists. By studying the reaction and diffusion kinetics of photoresist systems during laser PEB, we have been able to correlate the apparent activation energies with pattern LWR for 193 nm photoresists. We found that the system with highest deprotection activation energy and lowest diffusion activation energy achieved 60% LWR reduction using laser PEB compared to conventional hotplate annealing. Laser annealing is also utilized for directed self-assembly of block copolymers. Polymer chain mobility is greatly increased by increasing temperature, allowing ordering within 5-20 ms before polymer decomposition can occur. Effects of laser power, dwell time, underlayer and graphoepitaxy were examined with long range order and alignment was achieved with 20 ms laser annealing. Ligand-stabilized metal oxide nanoparticles resist have shown extraordinary sensitivity for EUV lithography (4.2 mJ/cm2for the 22 nm features). This study suggests that ligands can be directly cleaved by UV radiation, which is accelerated in the presence of a photoacid generator (PAG). This implies that the ligand structures is important to resist performance. By systematically synthesizing and characterizing of nanoparticles with different ligands, we correlated the lithographic performance with ligand structures, offering the potential for future rational resist design.

Infrared Spectroscopy Studies of Electron Induced Reaction Mechanisms in EUV Photoresists

Author : Yasiel Cabrera
Publisher :
Page : pages
File Size : 48,33 MB
Release : 2019
Category : Extreme ultraviolet lithography
ISBN :

GET BOOK

Extreme ultraviolet (EUV) lithography, with approximately 13.5 nm photons is the new standard of the semiconductor industry. The use of EUV photons allows for further miniaturization of integrated circuits, enabling industry and researchers alike to explore the 1 – 10 nm regime. Despite the desire to begin mass producing devices with EUV tools by 2020, a clear direction for the best EUV capable photoresists is not understood. In this dissertation, a novel class photoresist material is investigated to understand key areas of their reaction mechanisms for next-generation photolithography. These photoresists are composed of a hybrid nanocluster architecture with a small HfOx core surrounded by methacrylic acid ligand (HfMAA) and can achieve high sensitivity and etch-resistance due to their small molecular nature, high-absorption metal core, and ease of ligand tunability. However, many aspects about their properties and reactivity are still poorly understood. To investigate the reaction mechanisms, the photoresists were probed with a bream of energetic electrons, corresponding to primary and secondary energies produced during EUV ionizations. Their chemical transformation upon electron irradiation, along with the effects of annealing, were tracked using in situ infrared (IR) spectroscopy. After post-application bake (PAB) to 105 °C, the IR spectra show the formation of new Hf-O-Hf bonds through the consumption of terminal hydroxyl groups. This bond formation negatively affects the intrinsic solubility characteristic of the photoresists. Additionally, a crosslinking pathway is initiated by a decarboxylation mechanism of the methacrylate ligands (MAA) under electron irradiation. To understand further the role of secondary electrons in HfMAA, a ligand exchange procedure was employed to change ~20% of the MAA with 4-hydrobenzoic acid (HBA) and phenyl acetic acid (PAA). In situ IR spectroscopy was used to monitor the amount of alkyl CH produced by both 90 and 20 eV electron irradiations. The addition of the co-ligand enhanced the secondary electron sensitivity by 40% when compared to HfMAA. In addition, using mass spectrometry, two different reaction pathways are observed for each co-ligand due to the benzene ring of each ligand decomposes differently. Finally, a number of fundamental studies were performed to investigate EUV/electron-induced resist chemistry in thin-film model systems. Using methacrylic acid (MAA), isobutyric acid (IBA), and 4-hydrobenzoic acid (HBA) as prototypical probe molecules, we find spectroscopic evidence for a decarboxylation mechanism among each of the grafted carboxylate molecules. Differences in selection rules for EUV absorption vs impact ionization for 90 eV electrons are found to play an important role in the reactivity of ligands with different metal centers. Lastly, ab initio model calculations are compared to experimental data and demonstrate their potential use to screen reactivity of different carboxylate ligands and provide validation of first principles method for predicting reactivity of candidate resist chemistries. Additionally, we successfully grafted trivinyl-, dimethylsilamine on SiO2 to fundamentally study the effect of electron irradiation of organosilane based molecules. Results show with FTIR spectroscopy we can study reactivity of the silicon-vinyl groups by spin coating a thin siloxane based polymer layer on top of the monolayer. We demonstrated interaction between the two layers can occur with electron irradiation through the formation of Si-C and Si-O bonds.